统一RTL编码规则,让项目管理更轻松

随着功能复杂度的快速提升,对芯片的要求也是随着提高,所以现在一款芯片的开发,往往需要数十人,长达几个月的共同开发才能完成。

因为Verilog HDL的语法相对开放,所以每个人开发的Verilog HDL之间的差异也是非常的大。但是一个设计团队之间,进行RTL模块的交叉review、相互调用是非常频繁的事情,所以为了增强RTL代码的可移植性,以及review的效率,我们通常在一个项目开始的时候,会统一整理一个RTL编码规则,里面的内容包括,命名的规则、单词的缩略原则,一些标准电路的常用写法等等。往往这个编码规则会写的很具体,但是个人认为,这是非常有必要的。在前面的三篇文章中,我们已经聊了一些,今天的文章,我就继续来聊一聊一般常见的规则。

统一RTL编码规则,让项目管理更轻松

RTL推荐编码规则

统一RTL编码规则,让项目管理更轻松

统一RTL编码规则,让项目管理更轻松

小结

今天的文章,我们接着之前的文章,给出了一些编码的规范,看起来特别琐碎,但是却是非常重要。个别是function/task、循环语句等等。如果您对内容有什么建议或者疑问,欢迎和我进行讨论。

展开阅读全文

页面更新:2024-05-20

标签:多维   规则   寄存器   标号   设计者   语句   数据类型   芯片   效率   定义   轻松   类型   功能   工具   标准   文章   科技

1 2 3 4 5

上滑加载更多 ↓
推荐阅读:
友情链接:
更多:

本站资料均由网友自行发布提供,仅用于学习交流。如有版权问题,请与我联系,QQ:4156828  

© CopyRight 2020-2024 All Rights Reserved. Powered By 71396.com 闽ICP备11008920号-4
闽公网安备35020302034903号

Top