半导体行业深度分析:芯片良率重要保障,量检测设备国产替代潜力大

(报告出品方/作者:安信证券,马良、郭旺)

1.半导体量检测设备:芯片良率的重要保障

1.1.半导体量检测设备分为前道和后道

集成电路产业链包括集成电路设计、集成电路晶圆制造、芯片成品制造和测试、设备和材 料行业。集成电路芯片成品制造与测试的客户是集成电路设计公司和系统集成商,设计公 司设计出芯片方案或系统集成方案,委托集成电路制造商生产晶圆(芯片),然后将芯片委 托封测企业进行封装、测试等,再由上述客户将产品销售给电子终端产品组装厂。 半导体量检测设备是半导体制造过程中对芯片性能与缺陷的进行检测的关键设备,分为前 道和后道检测。前道检测主要用于晶圆加工环节,主要是针对光刻、刻蚀、薄膜沉积、清 洗、CMP 等每个工艺环节的质量控制的检测,目的是检查每一步制造工艺后晶圆产品的加工 参数是否达到设计的要求或者存在影响良率的缺陷,属于物理性的检测;半导体后道测试 设备主要是用在晶圆加工之后、封装测试环节内,主要是利用电学对芯片进行功能和电参 数测试,主要包括晶圆测试和成品测试两个环节,目的是检查芯片的性能是否符合要求, 属于电性能的检测。


1.2.前道量检测设备贯穿晶圆制造各个环节,是芯片良率的重要保障

量检测设备是芯片良率的重要保障,贯穿晶圆制造各个环节。芯片制造过程中产生的缺陷 会影响产品设备的最终良率,额外增加厂商的生产成本。根据 YOLE 的统计,工艺节点每缩 减一代,工艺中产生的致命缺陷数量会增加 50%,因此每一道工序的良品率都要保持在非常 高的水平才能保证最终的良品率。当工序超过 500 道时,只有保证每一道工序的良品率都超 过 99.99%,最终的良品率方可超过 95%;当单道工序的良品率下降至 99.98%时,最终的总 良品率会下降至约 90%,因此,制造过程中对工艺窗口的挑战要求几乎“零缺陷”检测和量 测环节贯穿制造全过程,是保证芯片生产良品率非常关键的环节。 按照检测技术分类来看,目前主要的检测技术主要分为光学检测技术、电子束检测技术和 X 光量测技术,其中光学检测是目前主流技术。根据 VLSI Research 和 QY Research 的报告, 2020 年全球半导体检测和量测设备市场中,应用光学检测技术、电子束检测技术及 X 光量 测技术的设备市场份额占比分别为 75.2%、18.7%及 2.2%,应用光学检测技术由于可以相对较好实现有高精度和高速度的均衡,并且能够满足其他技术所不能实现的功能,因此采用 光学检测技术的设备占比具有领先优势。

光学检测技术、电子束检测技术和 X 光量测技术的差异主要体现在检测精度、检测速度及应 用场景上。光学检测是目前应用最广的技术,具备精度高、速度快的优点,能满足大规模 生产。与电子束检测技术相比,光学检测技术在精度相同的条件下,检测速度更具有优势; 与 X 光量测技术相比,光学检测技术的适用范围更广,而 X 光量测技术主要应用于特定金属 成分测量和超薄膜测量等特定的领域,适用场景相对较窄。

光学检测技术:基于光的波动性和相干性实现测量远小于波长的光学尺度,并通过对 光信号进行计算分析以获得晶圆表面的检测结果。可以满足规模化生产的速度要求, 相对较好实现高精度和高速度的均衡,具有分辨率高、运用范围广和损伤性小的特点, 但是需借助其他技术进行辅助成像并在检测精度上不及另外两种技术。光学检测技术 可进一步分为无图形晶圆激光扫描检测技术、图形晶圆成像检测技术和光刻掩膜板成 像检测技术,在量测环节中发挥了主要作用。电子束检测技术:是指通过聚焦电子束至某一探测点,逐点扫描晶圆表面产生图像以 获得检测结果,精度更高并可以直接成像进行测量,但速度相对较慢、分辨率低。 X 光量测技术:主要应用于特定金属成分测量和超薄膜测量等特定的领域,适用场景相 对较窄。

总体来看,电子束具备精度优势,但是受限于检测速度,电子束无法满足规模化生产的速 度要求,导致其应用场景主要在对吞吐量要求较低的环节。科磊半导体的总裁 Rick Wallace(任职 2008 年至今)曾直接提及光学技术的检测速度可以较电子束检测技术快 1,000 倍以上,电子的物理特性使得电子束技术难以在检测速度方面取得重大突破。相比而 言,光学检测是最经济、最快的选择。 因此,结合三类技术路线的特点,在实际应用场景中往往会将光学技术与电子束技术相结 合,即通过光学检测设备寻找并快速锁定缺陷位置,并由电子束检测设备重访已检测到的 缺陷并进行成像处理,对部分关键区域表面尺度量测进行抽检和复查,确保设备检测的精 度和速度,两种技术的结合使用能够提高量检测的效率,同时降低对芯片的破坏性。 另外,由于电子束检测通常接收的是入射电子激发的二次电子,无法区分具有三维特征的 深度信息,因而部分测量无法用电子束技术进行检测,主要通过光学检测技术实现,如三 维形貌测量、光刻套刻测量和多层膜厚测量等应用。

应用于前道制程和先进封装的质量控制根据工艺细分为检测(Inspection)和量测 (Metrology)两大设备。检测指对晶圆表面上或电路结构中是否出现异质情况进行检测, 如颗粒污染、表面划伤、开短路等对芯片工艺性能具有不良影响的特征性结构缺陷;量测 主要针对晶圆电路上的结构尺寸和材料特性做出的量化描述,如薄膜厚度、关键尺寸、刻 蚀深度、表面形貌等物理性参数的量测。


1.2.1.前道量检测设备:针对晶圆制造中相关物理参数测量

集成电路制造和先进封装环节中的量测主要包括三维形貌量测、薄膜膜厚量测、关键尺寸 量测、套刻精度量测等,主要对透明薄膜厚度、不透明薄膜厚度、关键尺寸、套准精度等 指标进行测量,对应的设备分为四探针、椭偏仪、CD-SEM 设备、OCD 设备、原子力显微镜、 薄膜量测等。 量测环节中光学检测技术发挥主要作用,运用光的波动性和相干性实现测量远小于波长的 光学尺度,通过对光信号进行计算分析以获得晶圆表面的检测结果,若一条产线中量测结 果持续偏离设计值,表明产线工艺出现了问题,需要进行问题的排查。

三维形貌量测:通过宽光谱大视野的相干性测量技术,得到相关区域电路图形的高精度三 维形貌,对晶圆表面的粗糙度、电路特征图案的高度均匀性等参数进行测量,从而对晶圆 的良品率进行保证。 套刻精度量测:集成电路上电路图形每一部分之间相对位置的套刻对准直接影响了整个器 件性能、 成品率及可靠性。套刻精度测量原理是利用光学显微成像系统获得两层刻套目标 图形的数字化图像,然后基于数字图象算法,计算每一层的中心位臵,从而获得套刻误差。 目前市面上使用较多的设备是 KLA-Tencor 的 Archer 系列和 ASML 的μDBO 产品。Archer 系 列利用的是光的反射原理,ASML 的量测方法则是利用光的衍射原理。

Archer 系列:采用光的反射进行量测,通过使用高分辨率显微镜将当层和前层(事先已 经设置好)的量测标识叠在一起进行拍照,将拍好的照片传到分析软件通过模型对反射 光信号进行计算,算出套刻误差。


ASML-μDBO 系列:DBO 设备在当前层和前一层上套叠的光栅打入一道均匀的光束,光束透 过当成量测标识时发生衍射,衍射光束达到前层后反射,对反射回来的衍射光斑进行分析 可求得套刻误差。由于前层和当层并不严格对准,光斑每个像素点的光强关于原点并不对 称从而得到误差。

薄膜膜厚量测:在半导体制造过程中,晶圆要进行多次各种材质的薄膜沉积,因此薄膜的 厚度及其性质会对晶圆成像处理的结果产生关键性的影响。膜厚测量环节通过精准测量每 一层薄膜的厚度、折射率和反射率,并进一步分析晶圆表面薄膜膜厚的均匀性分布,从而 保证晶圆的高良品率。膜厚测量可以根据薄膜材料划分为两个基本类型,即不透明薄膜和 透明薄膜。业界内一般使用四探针通过测量方块电阻计算不透明薄膜的厚度;通过椭偏仪 测量光线的反射、偏射值计算透明薄膜的厚度。

关键尺寸量测:半导体制程中最小线宽一般称之为关键尺寸,通过测量从晶圆表面反射的 宽光谱光束的光强、偏振等参数,来测量光刻胶曝光显影、刻蚀和 CMP 等工艺后的晶圆电路 图形的线宽以保证工艺的稳定性。由于任何图形尺寸的偏离都会对最终器件的性能、成品 率产生影响,因此先进的工艺控制都需要对关键尺寸测量。 根据设备运用原理的不同分为关键尺寸扫描电子显微镜设备(CD-SEM)和光学关键尺寸 (OCD)测量设备,其中 OCD 设备弥补了 CD-SEM 设备需要将待测晶圆臵于真空的缺陷,具 备高精度与很好的稳定性与,可以一次性获得诸多工艺尺寸参数,目前已经成为先进半导 体制 造了艺中的主要工具。

1.2.2.检测设备:对晶圆生产过程中有无产生表面杂质等缺陷进行检测

检测设备通过晶圆缺陷检测来监控工艺,减少产量损失。晶圆表面缺陷类型众多,综合考 虑缺陷的物理属性和缺陷算法的针对性,一般将缺陷分为表面冗余物(颗粒、污染物等), 晶体缺陷和图案缺陷。 随着现在工艺尺寸向 14nm 以下制程方向发展,晶圆表面的缺陷尺寸变得越来越小,缺陷产 生频率也越来越高。目前行业内对硅片缺陷检测的普遍做法为:光学技术与电子束技术相 结合。通过光学检测设备寻找并快速锁定缺陷位置,并由电子束检测设备对缺陷进行成像 处理。

无图形晶圆激光扫描检测:无图形化检测指在开始生产之前,裸晶圆在晶圆制造商处获得认证,半导体晶圆厂收到后 再次认证的检测过程。 无图形的硅片一般是指裸硅片或有一些空白薄膜的硅片,由于晶圆没有形成图案,因此可 以直接进行缺陷检测。其工作原理是将单波长光束照明到晶圆表面,当激光束在晶圆表面 遇到粒子或其他缺陷时会散射激光的一部分,设备收集在缺陷散射光信号,通过多维度的 光学模式和多通道的信号采集,实时识别晶圆表面缺陷、判别缺陷的种类,并报告缺陷的 位置。

图形晶圆成像检测:该类设备主要应用于先进封装环节的晶圆出货检测,可实现对晶圆表 面高精度高速的成像,一般用明场/暗场照明,或两者的组合的方式进行缺陷检测。设备主 要通过深紫外到可见光波段的宽光谱照明或者深紫外单波长高功率的激光照明,获取晶圆 表面电路的图案图像,通过对比晶圆上的测试芯片图像和相邻芯片的图像,对电路图案进 行对准、降噪和分析,实现晶圆表面图形缺陷的捕捉。

光刻掩膜板成像检测:掩膜板在制程中起到关键作用,光罩上的缺陷或图案位置错误会被 复制到产品晶圆上面的许多芯片中,因此对于光刻掩膜版的检测成为实现芯片制造高良率 的关键因素之一。检测主要通过对晶圆上同一位置和同一特征尺度进行多次重复测量,通 过宽光谱照明或者深紫外激光照明,获取光刻掩膜板上的图案图像,并将测量结果的标准 差作为设备的重复性精度指标。该指标体现设备对晶圆同一位置和同一特征尺度的测量结 果的波动幅度大小。

1.3.后道测试分为晶圆检测和成品测试,主要关注电性能测试

后道检测分为晶圆检测和成品测试,晶圆检测环节需要使用测试机和探针台,成品测试环 节 需要使用测试机和分选机: 晶圆检测环节:晶圆检测是指在晶圆完成后进行封装前,通过探针台和测试机的配合使用, 对晶圆上的裸芯片进行功能和电参数测试。探针台将晶圆逐片自动传送至测试位置,芯片 的 Pad 点通过探针、专用连接线与测试机的功能模块进行连接,测试机对芯片施加输入信 号并采集输出信号,判断芯片功能和性能在不同工作条件下是否达到设计规范要求。测试 结果通过通信接口传送给探针台,探针台据此对芯片进行打点标记,形成晶圆的 Map 图。 该环节的目的是确保在芯片封装前,尽可能地把无效芯片筛选出来以节约封装费用。 成品测试环节:成品测试是指芯片完成封装后,通过分选机和测试机的配合使用,对封装 完成后的芯片进行功能和电参数测试。分选机将被测芯片逐个自动传送至测试工位,被测 芯片的引脚通过测试工位上的基座、专用连接线与测试机 的功能模块进行连接,测试机对 芯片施加输入信号并采集输出信号,判断芯片功 能和性能在不同工作条件下是否达到设计 规范要求。测试结果通过通信接口传送 给分选机,分选机据此对被测芯片进行标记、分选、 收料或编带。该环节的目的 是保证出厂的每颗集成电路的功能和性能指标能够达到设计规 范要求。

后道测试设备主要包含测试机 、分选机和探针台三种设备,ATE 测试机的检测内容主要为 功能和电参数检测:ATE 测试机通过计算机自动控制,能够自动完成对半导体的测试,加快 检测电学参数的速度,降低芯片测试成本,主要测试内容为半导体器件的电路功能、电性 能参数,具体涵盖直流参数(电压、电流)、交流参数(时间、占空比、总谐波失真、频率 等)、功能测试等。

分选机在成品芯片测试环节搭配 ATE 使用,按照形态和适用情形分为重力式、平移式、转 塔式、测编一体机。重力式结构简单,投资小,适合体积较大、测试时间一般的传统类型 封装形式,如 DIP、QFN、SOP 等;平移式采用机械臂运输芯片,适合几乎所有类型的封装, 在测试时间较长或先进封装情况下优势明显;转塔式适合体积小、重量小、测试时间短的 芯片,UPH 最高,许多转塔式结合了视觉检测功能,多以测编一体机的形式存在。测编一体 机将测试(test)、视觉量测(inspection &metrology)、激光打标(mark)、编带等功能结 合为一体,同样也可以分为重力式、平移式、转塔式等类型,由于集成功能较多,因此结 构复杂,技术壁垒较高。


探针台主要应用于半导体行业、光电行业、集成电路以及封装的测试。 广泛应用于复杂、 高速器件的精密电气测量的研发,旨在确保质量及可靠性,并缩减研发时间和器件制造工 艺的成本。探针台的核心在于真空 XYZ 工作台控制系统,工作过程中通过 PC 和控制器调整 工作台位置和探针位置,使得探针对准每个芯片(Die)的 Pad,完成电性能的测试。该系 统对于控制速度和精度均有较高要求,例如摄像头采用 CCD 相机、工作台移动采用摩尔光栅 闭环控制,以保证微米级控制精度。此外,为保证测试环境的稳定和低干扰度,对探针台 的光衰减、光谱噪声、电流噪声等都有相当高的要求,对于某些特殊芯片如 RF 等有特殊要 求。而真空腔、工作台、承片台的加工等也有一定难度,这一同构成了探针台的设计和制 造壁垒。

2.半导体前道量测设备:市场规模达 114 亿美元,美国 KLA 垄断市场

2.1.半导体前道量检测设备市场超百亿美元

根据 SEMI 的统计,2020 年全球半导体设备市场规模约 712 亿美元,同比增长 19.2%,其 中前中道晶圆制造设备 613 亿美元,占比 86.1%;后道封装测试设备市场规模约为 98.6亿美元,占比约 14%, 在所有地区均显示强劲增长,其中封装设备 38.5 亿美元,后道测 试设备 60.1 亿美元。 受消费电子、PC 等下游景气度提升和 5G、AI、云计算等新应用拓展,全球半导体需求整体 向好,半导体厂商资本开支进入新一轮上升周期,半导体设备市场规模随之提升,2021 年 全球半导体设备市场销售额达 1,026 亿美元,同比增长 44.1%,其中前道晶圆制造设备 880 亿美元,后道测试设备 78 亿美元,后道封装设备 70 亿美元。 分地区来看,2021 年中国大陆半导体设备市场达 296 亿美元,同比增长 58%,占全球市场 比 29%,第二次成为全球最大半导体设备销售市场,近年来全球半导体产业链呈向中国大陆 转移趋势,中国半导体设备市场国产替代进程明显。


2021 年前道量测设备市场规模 114 亿美元。在前道的晶圆制造设备中,市场投资占比最高 的是薄膜沉积设备和刻蚀设备分别为 28%和 22%,其次是光刻设备占比约为 20%,累计合计 市场规模占比近 70%;除此之外工艺过程量检测设备也是质量监测的关键,占前中道投资比 重约 13%;其他设备占比相对较小。结合此前 SEMI 给出的 2021 年前道晶圆制造设备市场 880 亿美元的数据,按此比例测算,半导体前道量测设备 2021 年市场规模达到 114 亿美元。 从国内来看,如果按照 2021 年半导体设备国内占全球 29%的比例来测算,中国大陆半导体 前道量测设备市场规模为 33 亿美元。

前道量测设备进一步细分为量测设备、缺陷检测设备以及过程控制软件,据 VLSI Research 数据,缺陷检测设备占前道检测设备市场规模比例最大,超一半以上达到 62.6%;量测设备 占前道检测设备的 33.5%;过程控制软件占前道检测设备的 3.9%。 进一步按产品细分,根据智研咨询数据,价值量占比方面膜厚测量占比 12%、OCD-SEM 测量 占比 10%,CD-SEM 占比 11%、套刻误差测量占比 9%;缺陷检测中有图形晶圆检测占比 32%、 无图形晶圆检测占比 5%、电子束检测占比 12%、宏观缺陷检测占比 6%。

2.2.前道量测技术壁垒高,美国 KLA 垄断市场

前道设备精密复杂、制造难度大,需要企业长时间的投入及技术积淀。量测设备涉及电学、 光学、光声技术等多个技术领域,对设备制造企业的技术研发实力和跨领域技术资源整合 能力有较高要求。国内设备厂商起步晚基础薄,国产设备仍有很大的突破空间。前道设备 种类复杂,细分市场较多;其中膜厚量测技术门槛较低,集中度相对分散,为国内厂商进 入检测设备的突破口。


测试设备具有非标定制化的特点,客户需求多样化。根据性能要求的不同,在外观尺寸测 试、视觉测试等方面存在高度不统一性,所需要的检测设备种类多,是所有半导体检测赛 道中壁垒最高的环节,单机设备的价格比后道测试设备高,且不同功能设备价格差异也较 大。 海外龙头企业垄断全球 80%量测设备市场。根据 SEMI 资料,全球主要赛道由海外厂商主导 并垄断,中高端领域由 KLA-Tencor 占据主要市场,并在大多细分领域具有明显优势。根据 Gartner 数据统计,2021 年全球量测设备市场上,KLA 市占率 51%,应用材料市占率 12%, 日立科技市占率 9%,行业前五大公司合计市场份额占比超过了 80%,全球市场高度集中。 国内市场国产化率较低, 2020 年我国半导体量测检测设备国产化率约为 2%,市场主要由几 家垄断全球市场的国外企业占据主导地位。

2.2.1.科磊:全球半导体前道测量设备龙头企业

KLA-Tencor 成立于 1976,是 IC 领域最大的量检测公司,半导体工艺控制是公司主要收入 来源,其产品线涵盖了质量控制全系列设备,广泛应用于晶片制造、晶圆制造、光掩模制 造、化合物半导体制造、互补式金属氧化物半导体(CMOS)和图像感应器制造等领域。其涵 盖产品主要包括 Gen 5(3900 系列)有图形晶圆检测、Puma 激光扫描图案晶圆缺陷检测系统;Archer 套刻误差检测;Teron 掩膜版测量(EUV);Surfcan 无图形晶圆检测(DUV/EUV) 等。

KLA 在检测设备领域市占率有绝对优势,根据 Gartner 数据,2021 年 KLA 的前道检测设备领 域占据全球市场 51%份额,从产品应用领域上来看,科磊在检测设备领域的各环节占据市场 主导优势,尤其在晶圆形貌检测、无图形晶圆检测、有图形晶圆检测领域市占率分别达到 85%、78%、72%,具有绝对垄断优势。

盈利能力稳定,营收持续增长。前道量检测设备具有较高市场准入和技术壁垒,公司的绝 对龙头地位赋予其垄断市场产品定价的能力,保持常年很高的盈利能力。截止 2022 财年,KLA 的营收 92.12 亿美元,同比增长 33.14%,归母净利润为 33.22 亿美元,同比增长 59.83%, 其中半导体工艺控制营收 79.25 亿美元,占总体营收的 86%,是集成电路领域规模最大、覆 盖面最广的量检测公司。 2023 年 Q1 季度(2022.6 月-2022.9 月)实现营收 27.24 亿美元,同比+30.74%;实现净利 润 10.26 亿美元,同比-3.97%。公司 23Q1 营收高于预期,主要受供应链改善影响,新产能 得到开出,同时 EUV 掩膜版检测增势强劲,实现了连续增长。 按照主营业务结构,2021 年科磊产品和服务收入分别占比 75%和 25%;其中量测业务占比 23%,缺陷检测业务占 77%。多年来科磊的服务收入占比一直维持在 20%的水平,高比例的服 务收入和先进制造商订单,使得公司能够多年来保持稳定的盈利能力。同时公司约 70%的产 品订单来自先进制造商,具备比较稳定的市场需求。


科磊在发展过程中,通过并购不断拓宽赛道。1997 年由 KLA 仪器公司和 Tencor 仪器公司 合并创立 KLA-Tencor,此次合并使公司同时具备了在缺陷检测和量测解决方面的能力,开 始进入公司密集并购期,通过一系列外延并购整合行业内资源,延伸公司产品线与服务, 提高市场占有率。2007 年公司并购 OnWafer 以及 SensArray 公司,使得科磊成为目前市场 上唯一一家能够提供晶圆级量测设备的厂商;2019 年以约 32 亿美元收购以色列公司 Orbotech 2021 年中国大陆成为公司全球最大市场。科磊半导体业务范围十分广泛,覆盖全球各个地 区,其中三星电子、台积电、Intel、海力士、联华、华虹、中芯国际、东芝、美光等 IDM/Foundry 均是公司重要客户。2021 年中国大陆在公司的营业收入 18.3 亿美元,占比为 26%,首次超越台湾地区成为公司全球最大市场。

2.2.2.应用材料

应用材料(AMAT)在量测设备方面优势领域在于电子束检测。2020 年 Applied Materials 的 量测业务收入增长 46%,主要原因是光学晶圆检测新产品和 E-beam 新产品得到大客户的认 可和采购。 根据 Gartner 数据,2020 年应用材料在刻蚀、沉积、CMP、离子注入、工艺控制领域的全球 市场份额分别达到了 17%、43%、64%、55%和 12%。2022 年公司总体收入 257.85 亿美元,同 比增长 11.80%,实现净利润 65.25 亿美元,同比增长 10.82%。

2.3.量检测设备国产替代持续推进,潜力巨大

国内量测设备厂家主要为中科飞测、上海睿励、上海精测、东方晶源、埃芯半导体、优睿 谱等,其部分产品已进入一线产线验证,推动量测设备国产化发展。 上海睿励:主要聚焦于薄膜测量和尺寸光学检测设备,已成功进入三星和长江存储生产线, 新研制的缺陷检测设备也已进入下游客户厂家。 上海精测:在膜厚产品(含集成式膜厚产品)、电子束、OCD 量测等设备产品和相关技术通 过自主研发均实现了技术突破,获得了国内一线客户的批量订单或验证通过。 中科飞测:公司在无图形晶圆缺陷检测设备、图形晶圆缺陷检测设备、三维形貌量测设备 系列具备较强竞争力,并获得了中芯国际、长电科技、长江存储等国内龙头客户订单,填 补了国内量测设备市场的关键空缺领域。 东方晶源:公司目前已实现国内首台套 EBI 设备在客户主流制程的验证,并完成了国内首 台 CD-SEM 的研发。 赛腾股份:公司通过收购 Optima 进入高端半导体检测设备赛道,涉足硅片端和晶圆加工检 测。

目前公司完整收购后,主要检测产品有光学晶圆缺陷检测设备、宏观检测设备、封测 自动端设备等,客户涵盖各国一线大厂,包括新晟、中环等客户。 埃芯半导体:公司产品涵盖光学薄膜量测、光学关键尺寸量测、X 射线薄膜量测、X 射线材 料性能量测、X 射线成分及表面污染量测等系列产品及解决方案。 南京中安:公司已量产具有国际领先水平的晶圆几何形貌量测设备,能够提供晶圆制造过 程中所需要的应力、翘曲度等重要参数。 御微半导体:公司已经形成了掩模版检测、晶圆检测、泛半导体检测、晶圆测量等 4 大领域 6 大类量检测产品。2022 年 5 月,公司首台半导体晶圆检测设备顺利发往长鑫存储,并且半 导体晶圆缺陷检测设备 i12-F200 首次发往北京中芯京城。2022 年 7 月,公司首台全自动掩 模缺陷检测设备 i6R-300 顺利发运国内集成电路先进制程生产线。

优睿谱:2022 年 6 月,公司首台半导体专用 FTIR(傅立叶变换红外光谱)测量设备 Eos200 正 式交付客户,也是国内首家率先实现 FTIR 设备交付的半导体量测设备公司,可用于测量一 代半导体(硅外延片)、二代半导体(砷化镓、磷化铟衬底外延)、三代半导体(碳化硅、 氮化镓外延片)、分子束外延(MBE)等的外延层厚度、光刻胶厚度及 CMP 抛光后的厚度, 以及测定半导体制程各种元素浓度。

上海睿励:公司于 2005 年注册成立,致力深耕于半导体量测领域。主营产品为光学膜厚测 量设备和光学缺陷检测设备,以及硅片厚度及翘曲测量设备等。其自主研发的 12 英寸光学 测量设备 TFX3000 系列产品,已应用在 28 纳米芯片生产线并在进行 14 纳米工艺验证,在 3D存储芯片上能够支持完成 64 层芯片生产能力。产品目前已成功进入世界领先芯片客户 3D 闪 存芯片生产线,是目前进入该国际领先芯片生产企业唯一的国产集成电路设备产品。 2021 年 4 月,睿励首台自主研发的高精度光学缺陷检测设备(WSD200)装箱出货。2021 年 6 月,公司自主研发的第三代光学膜厚测量设备 TFX4000i 交付,TFX4000i 延续使用了与 TFX3000P 相同的主框架及软件架构,在保持二代产品的优良测量性能和可靠性的同时新增 了反射测量模块和深紫外测量模块,由此涵盖了更广泛的工艺段应用,可以适用于 5nm 的前 后道工艺、10 nm 级 DRAM,3D NAND 等制造生产线。2021 年,公司累计出货 TFX3000 和 TFX4000 系列设备 30 余台,并于一季度获得中微公司增资 1 亿元,推动布局工艺检测设备。


上海精测:上海精测成立于 2018 年 7 月,主要聚焦半导体前道检测设备领域,产品覆盖领 域较为齐全,检测领域覆盖了电子束检测及缺陷复查设备,量测领域以椭圆偏振技术为核 心开发了适用于半导体工业应用的膜厚量测以及光学关键尺寸量测系统,已经取得长江存 储、广州粤芯等国内半导体客户的批量重复订单;电子显微镜相关设备已完成首台套的交 付。 半导体电子束检测设备顺利交付。2020 年 12 月 23 日,上海精测半导体技术有限公司宣布 推出首款半导体电子束检测设备:eViewTM 全自动晶圆缺陷复查设备,并于当日正式交付国 内客户,助力半导体产业国产化。该设备采用了自主开发的扫描电子显微镜技术,具有超 高的的分辨率,满足 10x nm 集成电路工艺制程的需求。 国内首台 OCD 设备完成出机。2021 年 7 月 13 日,上海精测半导体技术有限公司实现国内首 台 12 寸独立式光学线宽测量设备(OCD)与国内唯一 12 寸全自动电子束晶圆缺陷复查设备 (Review SEM)顺利出机。12 寸独立式光学线宽测量机台(OCD)是该类型的国内首台机台, 主要用于 45nm 以下、特别是 28nm 平面 CMOS 工艺的量测,并可以延伸支持上述先进工艺节 点的快速线宽测量。EPROFILE 300FD 测量系统拥有完全自主知识产权,包括宽谱全穆勒椭 偏测头、对焦对位系统、系统软件等核心零部件均为自主研发,是真正意义上的高端国产 化机台。

中科飞测:深圳中科飞测科技股份有限公司是与中科院微电子研究所达成深入合作、国内 唯一一家自主研发先进封装检测设备和光学三维尺度量测设备的企业,代表性的产品和服 务有: 三维封装量测系统 SKYVERSE-900,表面缺陷检测系统 SPRUCE 系列以及智能视觉检 测系统 BIRCH 系列等。目前也是唯一一家在 Metrology(量测)和 Inspection(缺陷检测)两 大领域均在国内 TOP 的芯片厂商取得批量订单并安装使用的半导体光学检测设备供应商,在 半导体与显示业界检测技术综合实力全国领先。 公司多年深耕技术领域布局,形成多系列具有自主知识产权的核心技术体系,并在量测、 检测产品和服务上的多线布局,主要设备包括:三维封装量测系统 SKYVERSE-900,表面缺 陷检测系统 SPRUCE 系列以及智能视觉检测系统 BIRCH 系列等。中科飞测经过数年技术累 计与研发,相关核心技术在国内处于领先地位,并实现与产业的深度融合应用, 相关设备 已与国际竞品整体性能相当,可以在相关知名晶圆制造厂商的产线上实现无差别应用,助 推检测设备国产化进程。


半导体设备技术的先进性和稳定性对产品的质量和生产效率起着重要作用,是下游客户选 择的关键条件。公司多年在深耕半导体质量控制领域,进行持续研发创新,在灵敏度/重复 性精度、吞吐量、功能性等不同维度技术上实现了多维度的创新:

在灵敏度方面,公司实现了无图形晶圆缺陷检测设备系列最小灵敏度 23nm 缺陷尺度的 检测,图形晶圆缺陷检测设备系列最小灵敏度 0.5μm 缺陷尺度的检测,三维形貌量测 设备系列和薄膜膜厚量测设备系列重复性精度的显著提高,分别达到 0.1nm 和 0.003nm。 公司技术实现了晶圆表面的纳米量级微小凹坑深度等不同重要尺度的高精度测量。

在吞吐量方面,无图形晶圆缺陷检测设备系列实现了灵敏度 102nm 下 100wph 的吞吐量、 灵敏度 26nm 下 25wph 的吞吐量;图形晶圆缺陷检测设备系列实现了灵敏度 3μm 下 80wph 的吞吐量。公司技术实现了设备高灵敏度下的高吞吐量。

在功能性方面,实现了对晶圆正面、背面和边缘的缺陷分布检测,能够满足客户对晶 圆全维度的缺陷检测,可以在制程工艺的早期就及时发现 3D NAND 多层 Bonding 工艺 (边缘)和 CMP 工艺(背面)中的缺陷,从而提高晶圆制造的良率。 公司将技术创新在质量控制设备相关产品中进行平台化运用,进一步提升了公司整体的技 术和产品优势,在国内主要集成电路制造厂商获得验证并取得批量订单,在国内市场上打 破了国外厂商的垄断,其设备近年来陆续进入中芯国际、长江存储、士兰集科、长电科技、 华天科技、通富微电等集成电路前道制程及先进封装知名客户,在精密加工领域,亦进入 了蓝思科技等知名厂商。

东方晶源:东方晶源微电子科技(北京)有限公司成立于 2014 年,,是一家专注于集成电路 良率管理的企业。公司现主要产品为纳米级电子束缺陷检测装备(EBI)和关键尺寸量测装 备(CD-SEM)、计算光刻产品(OPC)以及微电子设计与制造智能良率优化平台(HPOTM)。 目前公司成功研发出国内首台电子束缺陷检测设备 SEpA-i505,可提供完整的纳米级缺陷检 测和分析解决方案,并已通过我国头部芯片制造厂商产线验证,主要指标与国外一线对标 机台达到同等水平。同时在 CD-SEM 领域,实现国内首台关键尺寸量测设备的研制,并于 2021 年斩获订单并出机交付中芯国际,公司产品均为自主研发且处于国内领先水平,有效 解决国内集成电路产业多个难点,为目前 EBI 与 CD-SEM 领域填补关键技术空缺。 此外,公司旗下首台 12 英寸关键尺寸量测设备 CD-SEM 于 2021 年 6 月进入产线验证,目前 完成成熟制程量产验证;首台 8 英寸 CD-SEM 于今年 3 月进入产线验证,目前已经进入客户 产线小规模试产。并致力于DR-SEM设备研发,其工程机(Alpha机)通过首轮wafer demo, 可以满足 28nm 及以上的制程需求,Beta 机已拿到客户订单,进入产线验证指日可待。

根据数据显示,2020 年我国半导体量测检测设备国产化率约为 2%,设备市场国产化率较低, 与海外市场相比仍具备很大的发展空间。根据公开招投标信息统计,截止 2021 年长江存储 项目累计中标过程控制类设备约 350 台,其中中科飞测、精测半导体、睿励科学仪器分别中 标 7 台、6 台、2 台,中科飞测中标设备主要为光学表面三维形貌量测设备,精测半导体中 标设备主要为膜厚光学关键尺寸量测仪,睿励科学仪器中标设备为介质薄膜测量系统。 目前,国内半导体市场步入高速增长期,国产化需求紧迫,本土企业加速替代进程,精测 及睿励在集成式膜厚关键尺寸量测领域已获得重复订单,中科飞测在三维形貌量测设备领 域及晶圆表面凹陷检测系统已获取该品类全部订单,有望在量检测领域加速实现国产替代, 缩短与国外厂商差距。


3.后道检测设备:模拟测试机国产替代率先突破,SoC、射频国产化 有望快速推进

3.1. 后道测试设备合计占半导体设备市场规模比例约 8%。

测试设备合计占半导体设备市场比例约 8%。全球半导体设备市场按照半导体生产过程的不 同阶段,可以分为晶圆制造设备、封装设备、测试设备和前端其他设备。根据 semi 的数据, 2021 年全球半导体设备市场 1030 亿美元,其中晶圆制造、测试、封装设备分别为 880 亿美 元、78 亿美元、70 亿美元,占比分别为 85%、8%、7%,测试设备占半导体设备市场比例约 8%。 全球半导体测试设备市场 2021 年度增长 29.6%至 78 亿美元,在 5G 和高性能计算(HPC)应 用的需求推动下, 预计 2022 年将继续增长 4.9%至 82 亿美元。 根据 SEMI 数据,2021 年中国大陆在全球半导体设备市场占比约为 28.7%,如果按此比例推 算,2021 年中国大陆测试设备市场规模约 22.4 亿美元。

测试机为测试设备第一大细分领域。根据 2020 年 SEMI 数据,从结构来看,测试设备三大 类产品,测试机、分选机、探针台占比分别为 63.1%、17.4%、15.2%,半导体测试机是半导 体测试设备中占比最高的设备。


3.2.测试设备技术壁垒高,海外巨头垄断市场

从市场份额格局来看,全球测试设备市场竞争格局长期呈现出被海外巨头企业所垄断的局 面,市场集中度高。根据 SEMI 数据,2020 年全球半导体测试设备市场规模约为 60.1 亿美 元,其中泰瑞达占比 37.6%,爱德万占比 32.4%,科休占比 10.6%,位列市场规模前三甲。 由于国内半导体测试机行业起步较晚,相比于境外成熟的供应商,国内厂商从技术到规模 均弱势明显,市占率极低,未来提升空间广阔,半导体测试设备市场存在巨大国产化潜力

全球半导体测试设备市场规模的前三甲公司分别是泰瑞达、爱德万、科休,三家公司产品 格局各有不同。双巨头泰瑞达与爱德万均属于全产品线的全能高端选手,泰瑞达在 SoC 测试 机领域是绝对的龙头,而爱德万在存储器测试机上总体强于泰瑞达,科休除SOC测试机和RF 测试机具有一定竞争力以外,也是高端三温型分选机领域的领先厂商。

3.2.1.泰瑞达

泰瑞达(Teradyne)公司成立于 1960 年,总部设在美国马萨诸塞州的波士顿。经过近 60 年 的专注发展,公司已经成为全球著名的自动测试设备(ATE)领导品牌,是唯一能够覆盖模 拟、混合信号、存储器及 VLSI 器件测试的设备提供商,连续多年成为市场份额龙头,2020 年占据全球半导体测试设备市场份额的 37.6%,位列行业第一,同时公司是高端片上系统 (SoC)测试领域的绝对市场领导者。 公司目前业务覆盖半导体测试、系统测试、无线测试与工业自动化四个领域,其中半导体 测试为最主要业绩来源,近五年来业务收入占比均超过 70%。公司下游客户遍布半导体整条 产业链,包括世界知名厂商台积电、JA 三井租赁株式会社、三星电子、Intel、美光、德州 仪器、日月光、苹果等,其中台积电、JA 三井租赁株式会社是近两年来公司最大的客户。

公司下游客户遍布半导体整条产业链,包括世界知名厂商台积电、JA 三井租赁株式会社、 三星电子、Intel、美光、德州仪器、日月光、苹果等,其中台积电、JA 三井租赁株式会社 是近两年来公司最大的客户。从销售地区来看,中国台湾是其销售占比最大的地区,2021 年占比 30%,中国大陆占比从 2016 年的 9.97%增长到 2021 年第三季度的 18%,是最主要的 业绩增长贡献地区。 根据公司财报,受半导体行业周期性影响,近四年来公司半导体测试业务营收呈现波动上 升的状态,由 2017 年的 16.63 亿美元增长至 2021 年的 26.42 亿美元,2017-2021 年复合增 长率为 12.27%。2022 年前三季度的营业收入为 24.23 亿美元,同比-14.00%,环比+51.82%; 净利润 5.43 亿美元,同比-31.29%,环比+50.83%。主要是供应线限制有所缓解,因此内存 储器件、汽车和工业半导体测试客户的出货量超过预期。其中半导体测试实现营收 15.99 亿 美元,占比 66.00%,较去年占比 55.36%上涨 4.64pct,半导体测试业务不断扩张。


根据 2021 年第四季度季报,公司 SOC 产品销售额 2017-2022 的年复合增长率预计将达到 12%, 预计 2022 年产品服务销售额将超过 48 亿美元;存储器测试机产品 2017-2022 年复合增长率 则达到 9%,市场规模稳定逐年扩大,预计 2022 年产品服务销售额将达到 10 亿美元。

泰瑞达自成立以来一直致力于通过积极广泛的外延并购实现公司业务拓展,布局新市场, 从而提供全领域、具有强竞争力的设备产品。四十多年来的收购兼并不断扩大测试机产品 领域,跟随下游新兴市场方向,其中 1995 年收购 Megatest 推出 Catalyst 和 Tiger 测试系 统,有力推动公司成为高端芯片上系统(SoC)测试的市场龙头,后续对 Nextest、ETS、 LitePoint、Lemsys 等公司的收购兼并,则有力推动了公司在存储测试机、模拟测试机等领 域的技术纵深发展和原有市场份额的扩大,为公司成长为世界顶级的模拟、混合信号、存 储器及超大规模集成电路测试设备供应商奠定了深厚基础。

3.2.2.爱德万

爱德万(ATE)成立于 1954 年日本板桥区,于 1971 年进入半导体市场,凭借其优秀的经营 理念和尖端技术,已经成为全球最大的半导体自动测试设备供应商之一。公司产品包括频 谱分析仪、网络分析仪、光谱仪、光网络分析仪、误码仪等一系列应用于无线通信、微波 通信、光通信、元器件测试的测量仪器,覆盖存储器、SoC、LCD 芯片、MCU 以及传感器 IC 等几乎所有芯片的测试,业务遍布亚洲、欧洲和北美洲。

2017-2021 年爱德万营收由 19.47 亿美元增长至 34.13 亿美元,年复合增长率为 15.06%;净 利润由 1.70 亿美元增长至 7.15 亿美元,年复合增长率为 43.21%;2021 财年爱德万实现营 收 34.13 亿美元,同比增长 33.29%;净利润 7.15 亿美元,同比增长 25.10%,其中半导体测 试业务营收由 2017 年的 13.23 亿美元增长至 2021 年的 23.64 亿美元,年复合增长率为 15.62%。

在七十年发展年间,爱德万始终能够抓住产业发展最新方向,做出前瞻性的战略布局,紧 抓技术迭代契机推出新产品,占据大量市场份额,领跑技术潮流。公司于日本电子产业高 速发展的上世纪七十年代进入半导体测试领域,并于 1972 年发布日本首台 LSI 测试系统, 1976 年推出第一款存储器测试机,领跑泰瑞达 20 年。 同时公司积极进行外延并购介入 LCD、SoC 等测试机领域,不断开拓业务范围。2011 年爱德 万并购惠瑞捷后实现强强结合,使得公司在 SoC 测试设备领域的市场份额实现了巨大的提升, 在惠瑞捷原 V9300 平台的基础上推出了 V93000 Smart Scale 测试台,它提供了从入门级的 消费类芯片到最复杂的高度集成 SoC 芯片测试所需要的全套功能,实现了低成本与高性能的 完美结合。 目前,爱德万仍然在系统级测试、5G 芯片测试、SSD 测试等领域积极布局,积极探索业务的 全面覆盖。

3.2.3.科休

科休(COHU)成立于 1947 年,总部位于美国特拉华州,是全球测试分选机、半导体测试系 统 领先企业。目前科休的业务板块包括:半导体分选机、裸板 PCB 测试系统及接口产品等, 尤其在分选机领域产品线丰富,涵盖平移式分选机、重力式分选机、塔盘式分选机、 testin-strip 分选机等。

2017-2021 年科休营收由 3.53 亿美元增长至 8.87 亿美元,年复合增长率为 25.90%。 2021 财年科休实现营收 8.87 亿美元,同比增长 39.05%;净利润 1.67 亿美元,同比增长 1310%, 这是科休自2018年收购Xcerra以来首次实现盈利。2022年前三季度实现营收6.22亿美元, 同比-10.6%,环比+49.88%;实现净利润 0.75 亿美元,同比-48.63%,环比+50.00%,主要受 益于半导体测试业务的增长和接触器制造外包的增加。


科休 1957 年进入公开市场交易,1967 年收购测试处理方案供应商 Delta Design 公司,1994 年进入纳斯达克。21 世纪以来 COHU 通过一系列收购实现多品牌运营,实现了半导体测试业 务的有机增长,不断巩固在半导体后道测试市场的领导地位。2008 年收购 Rasco 拓展重力 进给处理器业务,2013 年收购 Ismeca 拓展转塔处理器业务,2017 年收购 Kita 将用于最终 测试接触器、探针卡、PCB 测试板和连接器的弹簧探针触点添加到产品组合中,2018 年收 购半导体测试厂商 Xcerra 进入半导体测试系统领域,将 LTX-Credence 测试仪、Multitest 测试处理器和接触器以及 Everett Charles Technology (ECT) 弹簧探针添加到产品组合 中,丰富产品类型以提供差异化的解决方案,同时扩大企业面向的行业市场空间。

3.3.模拟测试机国产替代率先突破,SoC、射频国产化有望快速推进

从国内测试机的国产化情况来看,模拟测试机已经实现了较高的国产化率,SoC、存储、射 频测试机的国产化率依然较低。 据半导体行业观察数据显示,2020 年 SOC 测试机领域国产化率为 4%,国内主要代表厂商有 长川科技、华兴源创、华峰测控等。总体来看,国内的测试机企业目前主要集中在中低端 SoC 测试机上,MCU、CIS、指纹等芯片的测试机将率先实现国产替代。 长川科技是国内 SoC 测试机的龙头,公司推出的 D9000 系列测试机处于国内领先地位,可以 对标海外主流测试机。华兴源创通过海外引进人才的方式,在 SoC 测试机领域迅速崛起,公 司自主研发的 T7600 系列测试机频率速率达到 400MHZ,技术参数已经达到行业内公认的中档 SOC 测试机水平,可以直接对标泰瑞达 J750,目前已在指纹、图像传感、MCU、TOF 等芯 片测试上实现量产。另外,华峰测控 STS8300 主要面向 PMIC 和功率类 SoC 测试,目前成熟 应用的 100M 板卡装机量已经不少,200M 和 400M 产品应用会在今年完成验证工作。

2020 年存储测试机领域国产化率为 1%,国内主要代表厂商有精鸿电子。公司 2018 年由精测 电子与韩国 IT&T 合资设立(65:35),2020 年已实现关键核心产品技术转移、核心零部件研 发制造国产化,JH5320 存储器测试系统在国内一线客户实现批量重复订单,2021 年至今公 司老化产品线已在国内一线客户实现批量重复订单,CP、FT 产线实现送样,相关订单正在 积极争取。 2020 年模拟测试机领域国产化率为 85%,国内主要代表厂商有华峰测控和长川科技,其中华 峰测控是国内模拟测试机的龙头。2008 年华峰测控推出了 STS8200,该系列平台成为国内模 拟测试机市场的畅销机型,截止 2021 年 Q3 末,STS8200 系列设备全球装机量已经突破 4000 台。另外,STS 8300 是公司 2018 年推出的全新测试系统,能够测试更高引脚数、更高性 能和更多工位的模拟及混合信号类集成电路,主要面向 PMIC 和功率类 SoC 测试,可同时满 足 FT 和 CP 的测试需求。

4.重点公司分析

4.1.精测电子:半导体检测设备全面布局

武汉精测电子集团创立于 2006 年,是国内面板检测系统龙头企业。公司起家于 Module 段 电讯技术信号检测,经过多年的发展,公司目前 Module 制程检测系统的产品技术已处于行 业领先水平,是国内面板 module 段领军企业,并开始向前端 Array 制程和 Cell 制程延 伸,在 2014 年引进了宏濑光电和光达检测科技有限公司关于 AOI 光学检测系统和平板显 示自动化设备相关的专利等知识产权后,开始构建自身的自动化检测及 AOI 体系,并完成 了相应产品开发。公司目前已经成为行业内少数在基于机器视觉的光学检测、自动化控制,和基于电讯技术的信号检测等方面均具有较高技术水平的企业,具有“光、机、电、算、 软”一体化的整体方案解决能力。


平板显示检测业务方面,在深耕存量市场的基础上,在创新应用场景中不断拓展增量市场。 并基于在“光、机、电、算、软”一体化的整体方案解决能力优势,不断向面板中、前道 制程扩展,成功实现了 Array 制程和 Cell 制程产品的开发和规模销售,成为行业内少数几 家能够提供平板显示三大制程检测系统的企业; 半导体检测业务方面,公司已形成在半导体检测前道、后道全领域的布局。子公司武汉精 鸿主要聚焦自动检测设备(ATE)领域(主要产品是存储芯片测试设备),目前已实现关键 核心产品技术转移,老化(Burn-In)产品线在国内一线客户实现批量重复订单;子公司上 海精测主要聚焦半导体前道检测设备领域,致力于半导体前道量测检测设备的研发及生产。 其膜厚产品(含独立式膜厚设备)、电子束量测设备已取得国内一线客户的批量订单;明场 光学缺陷检测设备已取得突破性订单;OCD 设备也获得国内一线客户验证通过。 新能源检测业务方面,公司依托在平板显示和半导体检测设备领域的技术积累和装备制造 经验,具备针对系统项目开发集成的研发生产经验,具有将运动控制、视觉检测、分布式 处理系统等成熟技术结合动力电池厂商工艺特点进行定制开发的能力,为锂电池各工艺段 生产装备的快速开发奠定了技术基础。

营收结构来看,公司主营业务包括 AOI 光学检测系统、信号检测系统、OLED 检测系统、新 能源、平板显示自动化设备和半导体等。2021 年公司主营业务营业收入分别为 8.93 亿元 (YOY+29.61%)、2.95 亿元(YOY+47.5%)、8.09 亿元(YOY+9.92%)、0.52 亿元(YOY35.80%)、1.91 亿元(YOY-28.99%)、1.36 亿元(YOY+109.23%),占比分别为 37.07%、 12.25%、33.58%、2.16%、7.93%、5.65%,毛利率分别为 37.74%、56.19%、48.46%、30.55%、 32.95%、37.02%,同比分别-7.69pct、-0.59pct、-5.49pct、+25.87pct、-9.89pct、- 1.14pct。

从公司的财务数据来看,公司业务发展迅速,收入规模不断扩大,市场份额持续提升。 2019-2021 年,公司营业收入分别约为 19.51 亿元、 20.77 亿元和 24.09 亿元,归母净 利润分别为 2.70 亿元、2.43 亿元、1.92 亿元,公司 2021 年整体营收有所提高,其中归母 净利润下降主要系公司前期在半导体和新能源领域的持续投入产生亏损,对净利润产生了 较大的影响。 2022 前三季度公司实现营业收入 18.2 亿元,同比+2.96%;归母净利润 1.44 亿元元,较去 年同比-1.25%;扣非归母净利润 0.84 亿元,较上年同比-47.86%。前三季度公司营收高增长 主要原因二季度订单延期到三季度实现交付,外加新能源业务开始放量。从盈利能力来看, 2022 盈利能力持续提高,毛利率 44.31%,环比+0.97pct,毛利率环比略有提高。

4.2.长川科技:后道测试设备平台型公司,SoC 测试机国内领先

长川科技成立于 2008 年,是国内领先的集成电路测试设备及自动化解决方案供应商。公司 目前主营产品包含测试机、分选机和探针台,全面布局后道测试设备,并通过并购 STI 进 入前道晶圆检测领域。目前,公司生产的集成电路测试机和分选机产品已获得长电科技、 华天科技、通富微电、士兰微、华润微电子、日月光等多个一流集成电路企业的使用和认 可。 公司目前主营产品包含测试机、分选机、探针台和 AOI 光学检测设备。测试机包括模拟/数 模混合测试机 (CTA 系列)、功率测试机(CTT3600、 STT3280F 系列)、数字测试机(D9000) 等类别,适用于各类模拟/数模 混合类 和功率器件等集成电路的电参数性能测试。分选机 包括重力式分选机(C1、C3Q、C5、C7H、C8、C9、 CC、CL、CV 等系列)、平移式分选机(C6、 C6100T、CS160、C7100、CF 等系列)和自动化产品(CM 系列), 适用于多种封装外型集成 电路的自动分选。 公司在测试机方向持续放量,技术指标可达国际一线水准。公司自推出第一代模拟/混合测 试机 CTA8200 以来,已实现三代迭代,部分核心技术指标已达国际一流。并通过多年的前 瞻性研发布局,2018 年公司成功推出数字测试机 D9000,集合 1024 个数字通道、100MHz 数字 测试速率、1G 向量深度,并开发了 8 通道混合信号测试功能,随着公司在大客户放 量,将成为业绩增长亮点。


从公司的财务数据来看, 公司近几年营收以及归母净利润呈现快速提高趋势,营收从 2017 年的 1.8 亿元提高到 2021 年的 15.11 亿元,归母净利润从 2017 年的 0.50 亿元提高到 2021 年的 2.18 亿元。2021 年公司业绩全年营收及归母净利润大幅提高,主要受益于集成电路行 业景气度较高,下游客户对公司设备需求旺盛以及国产替代的快速推进。 另外,公司在期 间开拓了探针台、数字测试机等产品,不断拓宽产品线,并积极开拓中高端市场。 2022 前三季度公司实现收入 17.54 亿元,同比+64.09%,环比+47.64%;实现归母净利润 3.25 亿元,同比+151.33%,环比+32.65%。公司前三季度营收同比延续高增长,主要系 Soc 测试机、三温分选等新产品持续放量,带来成长动能。从盈利能力来看,公司 Q3 归母净利 润0.80亿元,同比+101.13%,环比增加-54.02%;毛利率和净利率分别为54.06%、19.07%, 同比分别+2.64pct、+6.10pct;环比分别-1.75pct、-2.00pct,公司整体利润率同比有所 提高,主要是销售增加从而导致母公司盈利增加。

4.3.中科飞测(未上市):量检测设备国内领先企业,引领实现国产突破

中科飞测成立于 2014 年 12 月,并于 2020 年 12 月整体变更为股份公司,目前主要产品包括 系列无图形晶圆缺陷检测设备、图形晶圆缺陷检测设备、三维形貌量测设备以及薄膜膜厚 量测设备系列等,公司所生产的产品设备已应用于国内 28nm 及以上制程的集成电路制造产 线,打破了质量控制设备领域国际设备厂商对国内市场的长期垄断局面,在相关细分领域 填补了国内质量控制设备市场的空白,实现了国产化突破。


依托多年的技术深耕积累和自主创新,多项产品已完成相关产线验证,目前公司产品已经 广泛应用于中芯国际、长江存储、士兰集科、长电科技、华天科技、通富微电等国内主流 集成电路制造厂商,在精密加工领域,亦进入了蓝思科技等知名厂商:2017 年公司无图形 晶圆缺陷检测设备通过中芯国际产线验证,同年三维形貌量测设备通过长电先进产线验证, 并于 2019 年通过了长江存储的产线验证;2018 年图形晶圆缺陷检测设备完成在长电先进产 线的验证。

从公司的财务数据来看,受益于公司技术积累与设备市场持续景气,公司营业收入增势强 劲。2018-2021 年,公司营业收入分别为 0.30 亿元、0.56 亿元、2.38 亿元和 3.61 亿元, 2018-2021 年复合增长率达 129.15%;净利润方面,由于公司前期保持较大强度的研发投入 而销售规模有限,因此 2018-2019 年公司处于亏损阶段,但随着公司机台产品结构的改善 和扩大规模所带来的规模经济效应影响,自 2020 年开始已成功实现盈利并快速增长,并于 2021 年完成了扣非后净利润由负转盈的突破,达 348.01 万元,同比增长 362.49%。

4.4.华兴源创:全球领先面板检测设备供应商,布局 SoC 测试打开成长空间

华兴源创成立于 2005 年 6 月,于 2019 年成为全国第一家在科创板上市的企业。公司是国内 领先的检测设备与整线检测系统解决方案提供商,主要从事平板显示及集成电路的检测设 备研发、生产和销售。2018 年公司进军半导体检测,2020 年公司通过并购欧立通进军智能 穿戴领域。公司产品主要应用于 LCD 与 OLED 平板显示及微显示、半导体、可穿戴设备、 新能源汽车等行业,为客户提供从整机、系统、模块、SIP、芯片各个工艺节点的自动化测 试设备。

从公司产品结构来看,公司在平板和半导体检测板块产品十分丰富,在平板检测业务保持 业内领先水平,MiniLED、Micro-LED 及 Micro-OLED 等新一代显示检测技术储备不断升级, 半导体检测业务包括测试机、分选机、AOI 缺陷检测设备在内的多个标准设备也陆续进入量 产。消费电子检测及自动化设备业务是目前收入主要来源,半导体检测设备业务份额有望 继续提升。根据公司公告,2021 年公司消费电子检测及自动化设备业务营收 14.94 亿元, 同比大幅增长 83.62%,占总营收 73.94%;半导体检测设备制造业务营收 4.17 亿元,同比增 长 36.45%,占总营收 20.66%。 在半导体测试机上,公司是全球为数不多的可以同时自主研发 ATE 架构 SOC 测试机和 PXIE 架构射频和系统模块测试机的企业,主打 SOC、射频测试机以及 SiP 测试解决方案。在 SoC 测试机上,公司自主研发的 T7600 系列测试机频率速率达到 400MHZ,部分技术参数已经达 到行业内公认的中档 SOC 测试机水平,直接对标泰瑞达的 J750-HD,目前已经获得下游知名 CIS、MCU 以及指纹识别等芯片客户订单。

2022 年 Q1-3 季度,公司实现营收 16.69 亿元,同比+16.69%;实现归母净利润 3.05 亿元, 同比增长 12.50%。公司 22Q1-3 营收大增,受欧立通一次性激励影响利润表现;归母净利润 同比维持较高水平增长,主要原因是公司对国内外客户开发力度加大所致,其中消费电子、 汽车电子、半导体检测设备均有大幅成长。


4.5.华峰测控 :模拟测试机龙头,功率+SoC 开启第二成长极

华峰测控成立于 1993 年,是国内最早切入半导体测试设备领域的企业之一,聚焦于模拟和 混合信号测试设备,在国内深耕发展 20 余年,是国内少数销售区域走出大陆,覆盖中国台 湾、美国、欧洲、日本、韩国及东南亚国家和地区的设备公司。公司主要产品为半导体自 动化测试系统和测试系统配件, 其主要测试系统包括 STS8200 系列、STS8250 系列和 STS8300 系列;测试系统配件主要包括浮动 V/I 源表、时间测量、数字测量、及电器控制、 交流 V/I 源表等关键测试模块。 公司设备对标国际龙头泰瑞达,其 STS8200 对标泰瑞达模拟机型 Eagle test system(ETS) 系列,2021 年已经凭借产品性能实现了国内模拟测试 70%的市占率,实现全球装机量突破 4000 台;STS8300 主要针对数模混合测试,是 SOC 入门级产品,面向简单 PMIC 和功率 SOC,soc 是公司未来发展的重要目标。下一代产品将直接对标泰瑞达高端机型 Ultra Flex, 成长市场空间广阔。

从经营情况来看,2021 年公司营业收入 8.78 亿元,同比增长 120.96%。归属于上市公司股 东的净利润 4.39 亿元,同比增长 120.28%。归属于上市公司股东的扣除非经常性损益的净 利润 4.35 亿元,同比增长 193.79%。公司的业绩高增长主要受益于行业需求旺盛,产销两 旺,同时公司加大客户拓展力度,不断推出新品扩宽业务体系,带动相关订单大幅增长。 2022 年前三季度公司营业收入 7.78 亿元元,同比+22.11%,环比+43.81%;归母净利润 3.82 亿元,同比+22.60%,环比+40.96%。公司前三季度业绩收入增长主要系新产品 STS8300 逐步 装机放量及半导体检测设备市场需求拉动所致,同时公司的规模效应推动净利润有所提升。

4.6.赛腾股份: 收购日本 Optima 切入半导体检测领域

公司成立于 2001 年,起家于 3C 自动化设备,主要从事自动化生产设备的研发、设计、生 产、销售及技术服务。2018 年公司购无锡昌鼎电子有限公司 51%的股份,2019 年赛腾股份 拟以现金方式购买 Kemet Japan 株式会社持有的日本 Optima 株式会社 20,258 股股份,占标 的公司股权比例为 67.53%,加码布局半导体设备领域。截至目前,公司主营业务为包括 3C、 半导体、新能源汽车三大部分。 公司产品主要应用于苹果及其产业链上的厂商。自 2011 年通过苹果公司合格供应商认证, 公司逐步拓展与苹果的合作领域,建立了长期合作关系, 2014 年-2017 年,苹果连续 4 年 成为公司销售收入占比最高的下游客户。其中 2017 年 Q1-Q3 苹果直接订单收入达到了公司 总订单收入的 73%,公司应用于苹果公司终端品牌产品生产实现的收入维持在 90%以上。


从营收结构来看, 公司主要产品为半导体自动化设备、治具类设备和技术服务,2021 年营 收分别为 14.46 亿元、8.06 亿元、0.61 亿元,占公司整体收入比例分别为 62.52%、34.86%、 2.64%;毛利率分别为 39.18%、37.34%、63.50%。

从经营情况来看,2021 年公司营业收入 23.19 亿元,同比增长 14.31%。归属于上市公司股 东的净利润 1.79 亿元,同比增长 2.53%。归属于上市公司股东的扣除非经常性损益的净利 润 1.55 亿元,同比增长 12.77%。随着公司智能制造设备技术进一步得到市场的认可,公司 产品进一步推向市场,为公司营收带来增长点。 2022 年 Q1-3 公司营业收入 21.11 亿元元,同比+27.73%,环比+111.52%;归母净利润 2.30 亿元,同比+47.63%,环比+500%。公司业绩增长迅速,公司产品及技术服务进一步得到市 场认可。

(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)

精选报告来源:【未来智库】。「链接」

展开阅读全文

页面更新:2024-02-08

标签:半导体   芯片   光学   测量   缺陷   深度   潜力   领域   美元   测试   设备   行业   公司

1 2 3 4 5

上滑加载更多 ↓
推荐阅读:
友情链接:
更多:

本站资料均由网友自行发布提供,仅用于学习交流。如有版权问题,请与我联系,QQ:4156828  

© CopyRight 2020-2024 All Rights Reserved. Powered By 71396.com 闽ICP备11008920号-4
闽公网安备35020302034903号

Top