国内CVD设备龙头,拓荆科技:布局三大产品线,成长动力强劲

(报告出品方/分析师:招商证券 鄢凡 曹辉)

一、拓荆科技是国内CVD设备龙头,产品快速放量同时实现大幅扭亏为盈

1、公司三大产品线覆盖国内主流逻辑/存储产线,积极开展先进制程产品研发

拓荆科技是国内化学薄膜沉积设备龙头,产品覆盖14nm及以上制程并开展先进制程产品的验证及研发。拓荆科技于2010年成立,最早依托于国家“02重大专项”,配合SMIC实现90-65nm制程的产业化应用。

公司成立至今一直专注化学薄膜沉积设备领域,产品导入国内14nm及以上制程产线,并已开展10nm及以下制程的验证测试。

公司产品广泛用于中芯国际、华虹、长江存储、长鑫存储、厦门联芯、燕东微电子等国内产线,与国际龙头直接竞争,在研产品已发往某国际领先晶圆厂参与其先进制程研发。

拓荆科技拥有PECVD、ALD、SACVD设备三大产品线,主要针对介质薄膜沉积。

公司PECVD设备在国内唯一实现产业化应用,覆盖28nm及以上全介质薄膜,大反应腔的NF-300H产品处于验证阶段;ALD设备中PE-ALD实现产业化应用,覆盖逻辑芯片55-14nm SADP、STI工艺及存储领域,用于28nm以下逻辑的Thermal-ALD正在进行优化设计和验证测试;SACVD设备可以覆盖12英寸40/28nm及8英寸90nm以上的逻辑工艺,主要面向AMAT一家竞争对手。

拓荆科技核心团队由美籍硅谷回国专家构成,大多具备海外龙头设备公司研发经验。

公司最早由姜谦和其在美国诺发的同事吕光泉创建,得到中科仪及沈阳市政府支持,后续核心高管多从诺发海外同事关系网中发展,公司核心团队大多为化学专业背景,拥有海外AMAT、诺发等公司的薄膜沉积设备研发经验。

1)董事长&创始人吕光泉:美国国籍,先后任职于美国科学基金会尖端电子材料研究中心、美国诺发(生产PVD、CVD等设备,2012年被LAM收购)、德国爱思强美国SSTS部;

2)前董事长&创始人姜谦:美国国籍,先后就职于麻省理工学院、英特尔、美国诺发等,在诺发从事核心PECVD等设备研发;

3)总经理田晓明:美国国籍,曾就职于LAM、尼康(上海)等公司;

4)副总经理张孝勇:美国国籍,曾在诺发从事PECVD、ALD工艺研发;

5)技术总监叶五毛:美国国籍,先后任职于多家海外知名公司(包括美国诺发),从事工艺工程师、产品经理等职。

拓荆科技无实控人,获国家大基金、中科仪等国企/国有资本及多个知名一级投资机构注资。截至发布招股说明书,公司暂无实控人,国有控股比例达33%,第一大股东国家大基金持股19.9%,其他国资股东包括中科仪、国有投资基金沈阳风投、沈阳创投等;公司拥有国投上海、上海临芯、中合盛、苏州聚源等一系列知名一级战略投资机构;另外中微公司持股比例为8.4%;公司拥有共青城(本部员工持股平台)和沈阳盛旺等(拓荆键科员工持股平台)11个员工持股平台,均为姜谦一致行动人。

公司逻辑客户占比较高,第一大客户为SMIC,2021Q1-Q3前五大客户占比超90%。

①SMIC:拓荆第一大客户,自国家“02专项”以来保持良好合作关系,2022-2024年中芯京城、中芯深圳、上海临港等产线陆续招标,未来SMIC预计仍保持较高占比;

②ICRD:2018年售出一台ALD设备,19-21年持续发货验证;

③北京燕东微:2020年售出一台8寸SACVD设备;

④长江存储/长鑫存储:长江存储/长鑫存储加大扩产力度,公司在存储客户的份额预计稳步提升,贡献公司远期收入增长动力。

2、设备持续放量并实现大幅扭亏为盈,新签订单快速增长同时下游订单确认节奏加速

PECVD设备快速放量,22Q2单季收入增长大幅提速。公司从2019年来收入高速增长,2018-2021年收入CAGR达120%,公司从2020年以来收入增长加速,主要系行业景气度向好,同时产品加速放量。2021年公司实现收入7.6亿元,同比+74%;22H1营收5.23亿元,同比+364.8%;22Q2公司单季收入4.16亿元,同比+658%/环比+287%,22Q2收入增长大幅提速。

从产品结构来看,公司PECVD设备快速放量,ALD和SACVD中的DEMO机台预计在后续贡献收入。

①PECVD设备:为公司最主要产品,占历年收入90%以上比例,22H1收入4.67亿元,同比+345.2%;长期来看,中芯京城、中芯上海临港、中芯深圳等产线陆续扩产,叠加公司在长江存储等客户份额提升,未来收入预计持续增长;

②ALD设备:2017年发往ICRD一台,目前已经量产;2021年确认收入两台,实现收入0.29亿元,分别来自存储/逻辑客户;22H1收入0.08亿元;

③SACVD设备:2019年发往北京燕东微一台8寸设备并于2020年确认收入;2021年售出第二台设备,由于第二台SACVD面向的客户定制化要求较高,单价较贵超4000万元;22H1实现收入0.41亿元。公司ALD和SACVD订单中包括较多DEMO机台,预计在后续贡献收入。

规模化效应显现同时议价能力提高,公司毛利率不断提高。

拓荆处于快速放量期,规模化效应体现平滑固定成本,同时在客户端议价能力提高,因此毛利率快速攀升,22H1公司毛利率达46.8%,相较2021年提升2.8ppts。

分产品来看,公司PECVD设备主要影响整体毛利率,ALD和SACVD此前出货量较少因此波动较大(2020年ALD毛利率较高主要系当年收入为服务业务),但2021年已经获得SACVD正毛利订单。

公司22H1扣非归母净利润大幅扭亏为盈,展望后续净利率有进一步上升空间。

拓荆22H1研发费用率为22.5%,主要系新机台推出带来研发费用较多,薄膜沉积设备行业特点决定必须持续投入高研发,例如AMAT/LAM至今分别成立50多和40多年,研发费用率仍保持20%左右,拓荆科技后续预计研发投入金额预计仍不断上升,但规模化效应有望使费用率逐渐降低。

公司22H1实现归母净利润0.49亿元,同比大幅扭亏为盈,22Q2单季度扣非归母净利润为7081万元,扣非净利率高达17%,对比中微公司和华海清科在22Q2单季扣非净利率分别为25%和18%,我们认为国内设备公司在跨过一定收入体量后,净利率均得到大幅提升,伴随后续规模化效应体现,同时议价能力提高,我们认为拓荆科技未来净利率曲线有进一步上升空间。

拓荆在手订单饱满,长期有望延续收入较高增长态势。

截至21Q3,公司在手订单(不含DEMO机)超15亿元,22Q1和22Q2连续两个季度保持合同负债高速增长,22Q1末为7.8亿元,较2021年底增加近3亿元,22Q2末为10.9亿元,较22Q1末增加3亿元,而公司22H1确认收入5.23亿元,因此我们预计公司仍具备大额在手订单;截至22H1,公司存货达15.63亿元,较22Q1末增加2.7亿元,存货中发出商品和在产品分别为9.3和2亿元,较2021年底分别增加1.7和1.5亿元,因此预计公司于22H1获得较多新增订单。

拓荆在手订单包括成熟机台和DEMO机(大部分为新机型),成熟机台验收周期约为3-6个月,DEMO机台验收周期约为15-24个月。

中短期维度来看,公司在手订单充足,成熟机台预计贡献未来收入;长期来看,薄膜沉积设备行业空间巨大,中芯国际、长江存储等产线持续扩产,公司当前份额较低,长期有望延续收入较高增长态势。

拓荆科技设备在国内产线份额仍有较大提升空间。

2019-2020年拓荆PECVD中标机台占长江存储、上海华力、华虹无锡、上海积塔四家招标总量的16.6%,SACVD约占25%(其余SACVD设备中标企业为AMAT)。

我们统计了国内主要产线2021-22Q1的招标机台情况,拓荆中标机台在华虹无锡、长江存储等产线占比依旧不足15%,考虑到国内产线后续招标进展,叠加下游客户订单确认节奏加快,我们预计公司在逻辑/存储客户的份额仍将逐步提升。

拓荆三大产品线新机型持续导入验证,积极拓展产品覆盖面。

截至21Q3,公司3台ALD设备在武汉新芯、北方创新、长江存储进行验证,共有5台SACVD设备在上海积塔、北方创新、中芯绍兴进行验证,因此ALD/SACVD有望在未来2-3年内实现放量。

PECVD设备方面,公司大腔室NF-300H系列正在产业化验证;ALD方面,公司PE-ALD FT-300T实现产业化应用,Thermal-ALD新品FT-300T持续研发,大腔室FT-300H产品正在产业化验证。当前拓荆产品主要面向国内产线,在中国台湾市场处于先进制程研发产线、试产线验证阶段,获得订单量较小,未来在提高国内市场份额的同时,也将逐步拓展中国台湾市场;同时公司三大产品线新品也在不断拓展,扩大产品覆盖面。

二、薄膜和沉积设备细分品类繁多,薄膜沉积工艺具备较高壁垒

1、薄膜沉积“加法”工艺伴随芯片制造全流程,工艺复杂性构筑行业高壁垒

芯片是由一系列有源和无源电路元件堆叠而成的3D结构,薄膜沉积是芯片前道制造的核心工艺之一。从芯片截取横截面来看,芯片是由一层层纳米级元件堆叠而成,所有有源电路元件(例如晶体管、存储单元等)集中在芯片底部,另外的部分由上层的铝/铜互连形成的金属层及各层金属之间的绝缘介质层组成。

芯片前道制造工艺包括氧化扩散、薄膜沉积、涂胶显影、光刻、离子注入、刻蚀、清洗、检测等,薄膜沉积是其中的核心工艺之一,作用是在晶圆表面通过物理/化学方法交替堆叠SiO2、SiN等绝缘介质薄膜和Al、Cu等金属导电膜等,在这些薄膜上可以进行掩膜版图形转移(光刻)、刻蚀等工艺,最终形成各层电路结构。

由于制造工艺中需要薄膜沉积技术在晶圆上重复堆叠薄膜,因此薄膜沉积技术可视为前道制造中的“加法工艺”。

芯片制造工序繁多,工艺复杂性构筑薄膜沉积高壁垒。

芯片工艺分为前道制造和后道封装两个部分,其中前道制造工艺又分为前、中、后三段工艺,前段和后段工艺分别形成晶体管等器件和金属布线,中段工艺用于将二者连接。

薄膜沉积伴随着芯片制造的始终,是决定芯片性能的关键工艺之一,并且不同的工序也需要不同的薄膜材料种类与工艺,因此薄膜沉积工艺具备很高的壁垒。

1)前段工艺(Front end of line,FEOL):形成芯片底层晶体管等有源MOS器件的过程,主要包括浅槽隔离STI、源漏极、栅极、侧墙等。在其中,薄膜沉积的主要壁垒在于实现浅槽隔离中薄膜的填充和栅氧化层的厚度减薄等;

2)中段工艺:包括金属前电介质层(PMD)、阻挡层、接触孔等。中段工艺主要作用是连接前段器件与后段第一层金属,主要壁垒在于对接触孔钨栓塞的刻蚀和沉积;

3)后段工艺(Back end of line,BEOL):主要壁垒在于保证层间介质、钝化层等薄膜的致密性、均匀性等。后段工艺指形成能将电信号传输到芯片各个器件的互联线,包括金属间介质层沉积、金属线条形成、引出焊盘等工艺。

在3D NAND中,底层采用氧化物-氮化物重复堆叠形成ON Stack,薄膜壁垒较高,要求厚度和组分均匀,沟道-介质界面缺陷密度低。

在20nm工艺节点之后,传统的平面浮栅NAND因受到邻近浮栅-浮栅的耦合电容干扰而达到微缩的极限,为了实现更高的存储容量,NAND工艺开始向三维堆叠方向发展。

在3D NAND FEOL工艺中,在完成CMOS的源漏极之后,开始重复沉淀多层氧化硅/氮化硅形成ON叠层(ON Stack),接下来进行光刻和沟道超深孔刻蚀(深宽比至少大于30:1),沉淀高质量的多晶硅薄膜和沟道深孔填充并形成栅衬垫阵列(Gate Pad),然后进行一系列的光刻、刻蚀、离子注入、沉积栅介质层、沉积栅极等工艺,最后进行BEOL工艺。

在DRAM中,槽式/堆叠存储单元(Cell capacitor)向高深宽比发展,提高沉积难度。

当前DRAM每个存储单元为1T1C(1 Transistor+1 Capacitor)结构,即由1个晶体管和1个电容构成,按照电容在晶体管之前和之后形成(即电容分别位于晶体管的下方和上方)可分为堆叠式电容(Stacked Capacitor)和沟槽式电容(Trench Capacitor)。

1)沟槽式DRAM:先在基板上刻蚀出沟槽,然后在沟槽中沉积出介电层以形成电容器,然后在电容器上方制造出栅极,构成完整的DRAM cell。由于沟槽式DRAM不会影响CMOS晶体管特性,因此适合将DRAM和逻辑电路集成在一起,形成eDRAM。在沉积工艺时,由于沟槽的开口越来越细,要在沟槽里面沉积足够的介电材料,形成容值足够高的电容也更难;

2)堆叠式DRAM:存储单元在前段工艺(FEOL)之后形成,主要用于制造独立式的高密度DRAM。电容结构逐渐从圆柱形变为柱形,需要对高深宽比进行构图,同样提高了沉积难度。

2、CVD设备广泛用于层间介质层、栅氧化层、钝化层等工艺,细分品类不断迭代

薄膜沉积主要分为物理、化学、外延三大工艺。

①物理气相沉积:利用热蒸发或受到粒子轰击时物质表面原子的溅射等物理过程,实现物质原子从源物质到衬底材料表面的转移;

②化学气相沉积:通过混合化学气体发生化学反应,在衬底表面沉积薄膜,较PVD台阶覆盖率更好、沉积温度更低、薄膜成分和厚度更容易控制;

③外延工艺:在晶片等单晶衬底上按照单晶衬底晶向生长单晶薄膜的工艺过程。

CVD设备品类很多,广泛用于沉积介质/半导体薄膜,主要用于层间介质层、栅氧化层、钝化层等工艺,并且随材料种类和工艺进步而不断迭代,从APCVD逐步迭代到PECVD、ALD等设备。

1)APCVD(Atmospheric Pressure Chemical Vapor Deposition)常压化学气相沉积:APCVD指在接近大气压力环境下,将气态反应源匀速喷射至加热的固体沉底表面,从而发生化学反应的方法。APCVD设备最早出现(1960s左右),可制备单晶硅、多晶硅、二氧化硅、氧化锌、二氧化钛等薄膜;

APCVD优点在于可以大面积生产,缺点在于台阶覆盖率较差:①优势:通常不需要真空环境、结构简单、沉积速率高、工艺重复性好,可用于面积连续镀膜,适合批量工业生产;②劣势:台阶覆盖率较差、硅烷反应剂容易产生污染。因此APCVD设备一般用于微米制程,在沉积较厚的硅/氧化硅薄膜仍有广泛应用。

2)LPCVD(Low Pressure Chemical Vapor Deposition)低压化学气相沉积:指在27-270Pa环境下,低压进行的化学气相沉。LPCVD技术紧随APCVD而出现,可制备氧化硅、氮化硅、多晶硅、碳化硅、氮化镓等薄膜;

LPCVD优点在于台阶覆盖率好,产量高,缺点在于高温反应:①优势:薄膜生长速率受到反应速率限制,对温度控制较为精确,薄膜厚度均匀、台阶覆盖率好,比APCVD的产量更高、薄膜性能更好,适用于大批量生产,应用更加广泛;②劣势:需要高温反应,因此不能沉积熔点较低的金属,因此限制在90nm及以上制程中。

3)PECVD(Plasma Enhanced Chemical Vapor Deposition)等离子增强化学气相沉积:紧随LPCVD而发展是目前最主要的CVD技术,不通过热能而是通过微波或射频等电荷局部反应形成活性很强的等离子体,进而在衬底沉积出薄膜;

PECVD突出优点是低温沉积,薄膜纯度和密度更高。PECVD反应压强与LPCVD相差不大,紧随着LPCVD技术而发展,但PECVD技术需要的等离子体能量反应温度较低(100~300℃),因此,可以在熔点更低的金属互连层上沉积二氧化硅等薄膜,另外PECVD沉积速率更快、台阶覆盖率更好,能够沉积大多数主流的介质薄膜、包括一些先进的low-k材料、硬掩膜等。

4)ALD(Atomic Layer Deposition)原子层沉积:具备极佳台阶覆盖率和沟槽填充均匀性,广泛用于先进制程。ALD通过单原子膜逐层生长的方式,将原子层逐层沉积在衬底材料上,工作温度一般<500℃,工作压力为13-1333Pa(0.1~10Torr)。ALD工艺在每个周期生长的薄膜厚度是一定的,制备的薄膜具备极佳台阶覆盖率和沟槽填充均匀性,适合栅极侧墙介质制备,以及在较大高宽比的通孔和沟槽中的薄膜制备。

ALD分为常压ALD、真空ALD、PE-ALD(等离子原子层沉积)和Thermal ALD(热原子层沉积)。PE-ALD主要用于沉积低k材料等介质;Thermal ALD需要加热来发生反应,在高温下进行反应,沉积速率较快,薄膜致密性好,但是高温可能损伤薄膜,主要用于沉积金属栅极/高k金属化合物薄膜。

在高k介质层、极高深宽比沟槽、金属互连阻挡层中仅有ALD工艺才能实现。

①HKMG工艺应用:在65nm之前,主要沉积SiO2形成栅极介电质减少漏电,在制程发展至特别是28nm以下时,SiO2栅介质层物理厚度缩小至1nm以下便达到物理极限,漏电流急剧增加;通过引入HKMG,用高k材料替代传统的SiO2作为栅极介质层,TiN替代传统的多晶硅栅极作为金属栅极,高k栅氧化层厚度较薄,同时降低栅极电容;

②电容和电极材料:3D NAND和DRAM需要增加堆叠层数,形成高深宽比的沟槽,高k电容材料和电容电极对厚度和质量要求较高,只有ALD才能满足;

③金属互连阻挡层:阻挡层的作用是泛指铜等金属和周围绝缘体间的相互扩散,在先进制程、TSV先进封装等工艺中,对薄膜厚度要求较薄,ALD技术能够沉积尽可能薄的阻挡层,并且作为粘附层促进互连铜的生长,给铜沉积留出最大空间;

5)SACVD(Sub-atmospheric Pressure Chemical Vapor Deposition)次大气压化学气相沉积法:在特定场景下填充沟、槽等。SACVD在次常压环境下,通过对反应腔内气体压力和温度的精确控制,将气相化学反应材料在晶圆表面沉积薄膜。

SACVD设备的高压环境可以减小气相化学反应材料的分子自由程,通过臭氧在高温下产生高活性的氧自由基,增加分子之间的碰撞,实现优越的填孔(Gap fill)能力,用于40nm以下逻辑芯片、高性能存储芯片高深宽比填充。

三、薄膜沉积设备市场规模超200亿美元,拓荆国内份额增长态势长期向好

1、薄膜沉积设备市场空间超200亿美元,伴随制程/工艺等进步不断扩大

全球薄膜沉积设备超200亿美金市场,CVD设备是最大的细分市场。根据Gartner,全球薄膜沉积设备市场2020年空间约172亿美元,国内约45亿美元,而全球CVD设备市场规模大约120亿美元,是最大的细分市场,预计2025年全球薄膜沉积设备市场规模将达340亿美元,2020-2025年CAGR为13%;根据SEMI,2021年全球设备资本支出达1034亿美元,由此推断2021年薄膜沉积设备市场预计超200亿美元。

逻辑产线制程进步带来制造工艺与薄膜层数增多。

制程越先进体现在随着工艺能力的提高,可以加工出更小尺度的器件,在相同面积的芯片上可以集成更多的器件,一方面带来工序步骤增多,90nm CMOS工艺需要40步薄膜沉积工序,而在3nm FinFET工艺产线上,薄膜沉积工序增长至100步;另一方面薄膜厚度也随之减小,在同样空间内能够沉积的薄膜层数也相应增多。以TSMC为例,90nm需要7层金属层,28nm需要10层金属层,在5nm节点,金属层数提高到14层。

同样逻辑产能下,制程每进步一代,薄膜沉积设备需求量大约翻倍。

2016年中芯国际(天津)公布T2/T3产线扩产计划,其中T2/T3产线计划分别新增0.18um的 9万片/月的8寸产能和90nm 的1万片/月的12寸产能。

经测算,T2产线每1万片/月8寸产能分别需要9.9台CVD和4.8台PVD,T3产线每1万片/月12寸产能分别需要42台CVD和24台PVD(折合1万片/月8寸产能分别需要18.6台CVD和10.6台PVD)。因此,如果同样按照等效8寸产能计算,制程从180nm进步到90nm,薄膜沉积设备需求量大约翻倍。

ALD设备为CVD设备市场带来新增量。

1)栅极相关工艺从多晶硅栅向HKMG(High-K-Metal-Gate)转变:绝大多数高k介质依赖ALD工艺。栅极是逻辑芯片中最重要的工艺,45nm以上多用PECVD等制备栅氧化层,而由于ALD拥有更精确的膜厚控制、均匀性和致密性等特点,45nm以下制程的栅极氧化层和金属栅极多由ALD制备;

2)在高深宽比的存储电容和电极材料中使用ALD才能实现对沟槽的良好填充。增加NAND集成度的方法主要是增加堆叠的层数,使得一些器件结构的深宽比增加至40:1甚至80:1;在DRAM中,由于DRAM制程微缩带来电容器尺寸减小,因此需要将电容器拉长来增加电容表面积,提高DRAM容纳电子的能力。此时,高k电容材料和电容电极的沉积只有具备优异填隙性和共形性的ALD技术才能满足;

3)在金属互连阻挡层中,ALD技术能够沉积更薄的阻挡层。传统的阻挡层是用Ta/TaN/TaSiN等薄膜,在更先进制程中使用ADC I(掺氮SiC)、ADC II(掺氧SiC)等阻挡杂质扩散能力更强的薄膜,一般使用PVD、PECVD等制备,但随着元件集成度提高,架构尺寸微缩,深宽比逐渐增加,ALD技术能够沉积尽可能薄的阻挡层,并且和介质层粘附性更好,可以给铜沉积留出更大的空间;

4)28nm以下节点的FinFET及GAA栅极结构需要全方位ALD工艺。在FinFET和GAA结构中,由于器件结构更加复杂,必须对栅极周围无空隙填充薄膜,新型结构也要求金属栅极的电阻率更低、栅氧化层的厚度更薄,例如FinFET结构的HfO2栅氧化层的厚度微缩至10-15埃 (埃即A,为长度单位,1A=0.1nm),均只能通过ALD工艺实现;

5)在图形转移中采用双重图形化技术实现先进光刻机作用,ALD方法配合光刻技术能显著降低成本。双重图形化又称两次曝光,思路是将同一图形层的数据分为两次或者两张掩膜版分别成像。为了保证光刻中图形转移的质量,设计规则倾向于将同一层图形的线条按一个方向排列,但是当排列的线条间距(节距)接近80nm时,便已经达到193nm浸没式光刻机单次曝光的极限;如果节距小于80nm,在更先进的光刻机被用于量产之前,必须采用双重或多重图形化技术。采用ALD技术辅助光刻,成本比使用纯光刻技术成本低很多。

2、当前CVD设备市场被海外龙头垄断,拓荆长期国内份额增长态势向好

全球CVD设备市场集中度较高,欧美和日本厂商凭借多年经验垄断市场。

由于薄膜沉积设备行业壁垒高,海外厂商成立较早,在覆盖的薄膜和工艺方面不断突破,因此行业集中度较高。

目前全球薄膜沉积设备市场基本上由AMAT、LAM、TEL等垄断,在CVD领域,AMAT、LAM、TEL CR3占比合计超80%;在ALD设备领域,由于ALD是先进制程所用的新兴工艺,因此玩家较多,TEL和ASM分别在DRAM电容和HKMG工艺率先实现产业化应用,2020年TEL和ASM两家合计占比约60%。

薄膜沉积设备长期好赛道,拓荆国内产线份额有望逐步提升。

①市场空间大:根据Gartner数据,2021年全球薄膜沉积设备市场空间超200亿美金,仅次于刻蚀设备,数倍于清洗(大约50亿美金)、离子注入(大约30亿美金)、涂胶显影(大约40亿美金)市场空间。随着制程进步+存储层数增多,同时近年来晶圆厂不断扩产,带动薄膜沉积设备市场稳步增长;

②技术壁垒高:从薄膜种类来看,芯片多层电路需要数层至数十层的薄膜堆叠,每层电路需要的薄膜种类和性能指标丰富多样,薄膜沉积工艺要覆盖多种半导体、介质、金属/金属化合物薄膜;从工艺复杂性来看,多种薄膜需要各种物理/化学方法相互补充,并且随着制程进步,薄膜性能要求越来越高,同时工艺不断迭代,一些高深宽比等的芯片结构促进新工艺例如ALD、FCVD等的发展;

③当前国产化率较低:通过统计设备招标情况,以及通过将国内厂商的营收情况与国内市场空间进行对比,我们测算当前薄膜沉积设备国产化率大约5%,而刻蚀设备国产化率15-20%,前道清洗设备国产化率高达30%+,因此薄膜沉积设备市场还有很大国产替代空间。

四、报告总结

1、营业收入关键假设

(1)PECVD设备

公司PECVD设备是公司产量最多、收入体量最高的产品线,2018/2019/2020年分别售出4/19/31台,ASP分别为1293/1304/1349万元。

1)销量:公司预计2022-2024年PECVD设备累计至少实现销量170台,我们考虑到国内中芯国际、华虹、长鑫存储、长江存储、华润微、士兰微等晶圆厂纷纷加速扩产,带来对设备较大需求,同时公司当前国内产线份额较低,因此预计公司设备出货量快速增长,预计2022/2023/2024年分别为80/115/135台;

2)单价:一个PECVD通常有3个反应腔体(PM)和1个反应平台(TM),平台/腔体单价大约350万元,因此单台PECVD设备单价1400万元左右,公司2020年PECVD平均单价为1350万元。

考虑到后续公司12寸设备占比提升,同时反应腔数量上升,叠加部分新品度过前期导入阶段,预计2022/2023/2024年ASP稳步提升,分别为1700/1900/2100万元。综合计算得出,2021/2022/2023年PECVD设备收入分别为13.6/21.9/28.4亿元。

(2)ALD设备

1)销量:公司在2018年售出一台ALD设备/ASP为1460万元,2021年售出第二台,单价为2862万元。

目前公司PE-ALD设备成功量产,最先进的Thermal ALD设备FT-300T eX系列正在研发。公司目前共有3台ALD设备分别在武汉新芯、北方创新、长江存储进行验证,根据公司2021年9月问询函回复,设备需要1-2年时间通过验证,截至招股书公司在武汉新芯和北方创新的设备分别处于验证第五和第四步。

公司在2021年售出1台ALD设备,单价为2862万元,同时预计2022-2024年ALD设备累计至少实现销量10台,因此我们假设2023/2024年公司ALD设备有望快速放量,假设2022/2023/2024年销量为2/5/8台;

2)单价:考虑到ALD设备应用的制程更先进,因此预计产品单价相对PECVD设备更高,但考虑到初期产品市场推广等因素,因此假设2022/2023/2024年每台分别为2500/2700/2800万元,因此我们通过计算预计2022/2023/2024年ALD设备收入分别为0.5/1.35/2.24亿元。

(3)SACVD设备

1)销量:公司SACVD设备在2020年向燕东微电子售出1台,在2020年生产3台。截至2021年9月,公司共有5台SACVD设备在上海积塔、北方创新、中芯绍兴产线处于验证阶段并售出第2台设备,2021前三季度产量为3台,同时公司预计2022-2024年SACVD设备实现累计至少销量10台;

2)单价:公司在2020年售出的第一台设备单价为867.3万元,售价较低原因主要系公司第一台设备对客户采用优惠报价,2021前三季度,公司售出的第二台SACVD设备单价4116万元,该台设备实现毛利率63%;同时参考22H1 SACVD收入0.41亿元,我们假设2022/2023/2024年公司分别售出2/5/8台,单价均为4116万元,预计2021/2022/2023年SACVD设备收入分别为0.82/2.06/3.29亿元。

(4)其他业务

公司其他业务收入主要为设备检修服务等,这部分收入每年波动较大,2019/2020/2021年分别实现收入353.7/686.5/1274.8万元,2020/2021年同比增速94%/86%,这部分业务包含服务费用等,因此假设伴随设备出货量增长而增长,假设2022/2023/2024年公司其他业务收入增速为80%/50%/30%,分别实现收入2295/3441/4475万元。

综合来看,我们预计2022/2023/2024年公司分别实现收入15.15/25.60/34.33亿元,同比增长100%/69%/34%。

2、毛利率关键假设

1)PECVD设备

公司收入占比最高的PECVD设备,历史毛利率水平为29%-36%之间,在21Q1因为公司对长江存储集采价格做出让步等原因,毛利率有所回落,2021年公司PECVD设备毛利率升至42.6%,2021前三季度中用于12寸的PF-300T的毛利率达44.5%,用于8寸的PF-200T毛利率为41.2%,2021前三季度PF-300T和PF-200T设备毛利率相对2020年分别上升大约9ppts和5ppts。未来随着FT-300H验证成功,12寸设备占比提高,假设毛利率稳步上升,假设2022/2023/2024年毛利率分别为46%/47%/48%。

(2)ALD设备

ALD设备主要用于先进制程,台阶率等指标更优异。2018年和2020年,公司ALD设备毛利率分别为46.23%和87.09%,公司完成销售的ALD设备对应客户为ICRD。毛利率上升的主要原因为,2020年实现的ALD销售收入是对2018年所销售设备的反应腔的升级,成本金额较小,因此毛利率较高,参考意义不大。2021年公司售出机台毛利率为44%,目前公司ALD设备处于持续研发过程中,在2022/2023/2024年有望随着新品推出提升毛利率,我们假设2022/2023年公司ALD设备毛利率为45%/46%/48%。

(3)SACVD设备

公司第一台SACVD设备于2020年完成销售,销售毛利率为-43.61%。该机台为公司于2019年9月向燕东微电子发出,是公司自成立以来向客户发出的第一台SACVD机台,在2020年的最终商务谈判时接受了较低的报价,形成了亏损合同。该亏损合同属于偶然现象,2021年公司售出的一台正毛利设备毛利率为63%,由于公司最新SACVD设备正常出售,并未采用低价售出,考虑到公司实现SACVD盈利,假设22/23/24年毛利率保持63%不变。

(4)其他业务

其他业务毛利率在2018/2019/2020/2021分别为11%/22%/31%/55%,逐步提升,由于其他业务营收占比较小,因此毛利率变化对整体毛利率影响很小,我们假设其他业务毛利率在2022/2023/2024年保持基本稳定,均为55%。

3、估值分析

我们选取A股上市国内设备龙头北方华创、中微公司、盛美上海、华海清科、芯源微作为可比公司,按照Wind一致预期,对应2022/2023/2024年PS平均值分别为15.6/10.8/8.3。

按照我们盈利预测,拓荆科技2022/2023/2024年收入对应PS为19.0/11.3/8.4,略高于可比公司平均估值,但具备一定合理性,主要原因系:

①北方华创、中微公司收入含有一系列光伏设备、盛美上海、芯源微收入中部分来自后道封装,但拓荆科技收入全部来自前道IC设备,因此估值相对较高;

②薄膜沉积行业市场空间相较清洗、涂胶显影、CMP等设备更大,而公司份额相较其他设备厂商较低,因此成长空间较大。因此我们认为拓荆科技当前估值略高于可比公司具备合理性。

4、风险提示

1)收入确认受下游晶圆厂投资周期影响较大

晶圆厂系半导体专用设备的下游客户,晶圆厂产能投资规模决定了半导体专用设备的市场空间。晶圆厂的扩产投资受到集成电路终端产品销售市场变动、晶圆厂新技术导入计划、晶圆厂对于未来行业发展判断的影响,具有一定的周期性。如果下游晶圆厂的产能投资强度降低,公司将面临市场需求下降的情况,对于公司的经营业绩会造成不利影响。

2)国际贸易摩擦加剧影响公司供应链安全的风险

近年来,美国和中国之间互相在特定领域加征关税或设置其他贸易壁垒。由于国内半导体产业起步较晚,半导体设备上游零部件行业与海外同行业先进水平存在一定差距。国际知名半导体零部件供应商在产品机械精度、产品使用寿命等方面较国内零部件供应商更为成熟。目前,公司部分零部件的最优选择仍为美国、英国、日本、韩国等国外供应商。如果国际贸易摩擦进一步加剧,可能出现上述国外供应商受相关政策影响减少或者停止对公司零部件的供应,进而影响公司产品生产能力、生产进度和交货时间,降低公司的市场竞争力。

3)市场竞争风险

半导体设备行业具有很高的技术壁垒、市场壁垒和客户准入壁垒。目前公司的竞争对手主要为国际知名半导体设备制造商,与中国大陆半导体专用设备企业相比,国际巨头企业拥有客户端先发优势,产品线丰富、技术储备深厚、研发团队成熟、资金实力较强等优势,国际巨头还能为同时购买多种产品的客户提供捆绑折扣。2019年,在CVD设备全球市场中,应用材料(AMAT)、泛林半导体(Lam)、东京电子(TEL)的市场占有率分别为30%、21%和19%;在ALD设备全球市场中,东京电子(TEL)、先晶半导体(ASMI)的市场占有率分别为31%和29%。相比国际巨头,公司的综合竞争力处于弱势地位,市场占有率较低。

另外,国内半导体设备厂商存在互相进入彼此业务领域,开发同类产品的可能,同时公司面临国际巨头以及潜在国内新进入者的双重竞争。例如,在ALD设备领域,除公司外,北方华创、盛美上海、屹唐股份及中微公司已推出自产设备或有进入ALD设备市场的计划。如果公司无法有效应对市场竞争环境,则公司的行业地位、市场份额、经营业绩等均会受到不利影响。

4)Demo机台无法实现最终销售的风险

公司产品主要根据客户的差异化需求和采购意向,进行定制化设计及生产制造,主要采用库存式生产和订单式生产相结合的生产模式。订单式生产,指公司与客户签署正式订单后进行的生产活动。库存式生产,指公司尚未获取正式订单便开始的生产活动,包括根据Demo订单或较明确的客户采购意向启动的生产活动。

对于Demo机台,通常在公司与客户充分沟通产品型号、参数、配置等信息,便开始组织生产,完工后以Demo订单的形式发往客户端进行验证。一般在Demo机台获得客户端验证通过后,客户才会下达正式订单进行采购。

截至2021Q3末,公司发出商品共计74台,其中尚未获取正式订单,仅通过Demo订单等形式安排发运的设备共计25台,占比为33.78%。如果遇到集成电路产业景气度大幅下滑、客户需求大幅减弱、订单意外取消等不利因素,可能导致Demo机台未来最终无法获得客户验证通过,相关机台可能无法实现销售,公司可能面临调整生产计划、更换已完工机台的部分模块导致生产成本加大、存货库龄加长等情形,对公司的生产、业绩造成不利影响。

5)税收优惠风险

公司享受的税收优惠政策包括高新技术企业所得税优惠。2018-2020年,公司尚未盈利,税收优惠政策尚未对公司的经营业绩和现金流量造成影响。若未来公司实现盈利,但国家有关税收优惠的法律、法规、政策等发生重大调整,或者由于公司未来不能持续取得国家高新技术企业资格等原因而无法享受相关税收优惠,将对公司的经营业绩造成不利影响。

6)产品验收周期较长风险

晶圆制造属于高精密制造领域,对产线上各环节的良率要求极高,任何进入量产线的设备均需经过长时间工艺验证和产线联调联试。特别是对薄膜沉积设备而言,由于薄膜是芯片结构的功能材料层,在芯片完成制造、封测等工序后会留存在芯片中,薄膜的技术参数直接影响芯片性能。生产中不仅需要在成膜后检测薄膜厚度、均匀性、光学系数、机械应力及颗粒度等性能指标,还需要在完成晶圆生产流程及芯片封装后,对最终芯片产品进行可靠性和生命周期测试,以衡量薄膜沉积设备是否最终满足技术标准。因此,晶圆厂对薄膜沉积设备所需要的验证时间相比其他半导体专用设备可能更长。

对于新客户的首台订单或新工艺订单设备,一般从前期的客户需求沟通、方案设计、样机试制、场内工艺测试与调优到客户端样机安装调试、工艺验证到最后的工艺验证和产品验收通过,整个流程可能需要6-24个月甚至更长时间。对于重复订单设备,由于已通过客户工艺验证,新到设备的工艺技术一般无需做较大改动,从出货到设备验收通常需要3-24个月的时间。如此宽幅的验收周期时间波动主要是受到客户产线条件、客户端安装调试、客户工艺要求调整、客户验收流程限制以及其他偶然性因素的影响。

如果受某些因素影响,公司产品验收周期延长,公司的收入确认将有所延迟。另外,可能存在公司设备验收不通过、收款时间延后等风险,增加公司的资金压力,影响公司的财务状况。

——————————————————

报告属于原作者,我们不做任何投资建议!如有侵权,请私信删除,谢谢!

精选报告来自【远瞻智库官网】或点击:远瞻智库-为三亿人打造的有用知识平台|战略报告|管理文档|行业研报|精选报告|远瞻智库

展开阅读全文

页面更新:2024-02-11

标签:栅极   机台   设备   毛利率   薄膜   产品线   强劲   布局   龙头   芯片   收入   客户   动力   工艺   国内

1 2 3 4 5

上滑加载更多 ↓
推荐阅读:
友情链接:
更多:

本站资料均由网友自行发布提供,仅用于学习交流。如有版权问题,请与我联系,QQ:4156828  

© CopyRight 2020-2024 All Rights Reserved. Powered By 71396.com 闽ICP备11008920号-4
闽公网安备35020302034903号

Top